summaryrefslogtreecommitdiff
path: root/test.toc
blob: b4f3632f950e9db70261332c8a7b4c8211fa9e30 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
arr_sum ::= fn(n::=, t::=, a:[n]t) t {
	total := 0 as t;
	for x := a {
		total += x;
	}
	total
};

mk_arr ::= fn(x:int, y:int, z:int) a:[3]int {
	a[0] = x;
	a[1] = y;
	a[2] = z;
};

main ::= fn() {
	 arr_sum(mk_arr(1,2,3));
};